Chinese FPGAs

for all subjects/topics not covered by the other forum categories
User avatar
dominicbeesley
Posts: 2210
Joined: Tue Apr 30, 2013 12:16 pm
Contact:

Re: Chinese FPGAs

Post by dominicbeesley »

No that was me not updating your sources correctly!

Please could you get rid of the AtomGodilVideo soft-link and add it to .gitignore then I'd have a lot more success updating. I'm stuck building on windows and adding a fs link in Windows is a bit iffy and git trips over its whatsit when it tries to overwrite it!

I think it's probably best to exclude the .gprj.user file from the repo too.

I haven't got any <2GB micro sd cards so it will be a day or two before I can enjoy the full experience!

D
leendert
Posts: 30
Joined: Thu Mar 05, 2015 12:55 pm
Location: Harderwijk, the Netherlands
Contact:

Re: Chinese FPGAs

Post by leendert »

Same experience here: Windows does not like the relative address pointer to the Godil stuff (a map and a file can't have the same name).
However: the code works fine, but already did, so I'm not at help on these detail-things.
@Dominic: in the end I found a 2Gb card in an old phone/MP3 player. So maybe you have some of those in a box with ancient high-tech..

Leendert
Atom 6502 rocks.

'If everything is transparent, you would see nothing'
User avatar
dominicbeesley
Posts: 2210
Joined: Tue Apr 30, 2013 12:16 pm
Contact:

Re: Chinese FPGAs

Post by dominicbeesley »

I thought of that Leendert, unfortunately before I moved house I sorted all my old phones etc into a box and took out all the cards...I have no idea where they all ended up!

D
User avatar
dominicbeesley
Posts: 2210
Joined: Tue Apr 30, 2013 12:16 pm
Contact:

Re: Chinese FPGAs

Post by dominicbeesley »

I've got to go and do school stuff now but I've just spotted something that may be worrying (probably not)

When I tried to crib the .cst from AtomFPGA into BeebFPGA it spat out the definitions for gpio[5..0] complaining:

Code: Select all

ERROR  (CT1136) : Bank 1 vccio(1.8) is locked by other constraint or embedded port, conflicting BANK_VCCIO set by 'gpio_10_s5' : IO_TYPE = LVCMOS33 in the same bank
ERROR  (CT1136) : Bank 1 vccio(1.8) is locked by other constraint or embedded port, conflicting BANK_VCCIO set by 'gpio_10_s4' : IO_TYPE = LVCMOS33 in the same bank
ERROR  (CT1136) : Bank 1 vccio(1.8) is locked by other constraint or embedded port, conflicting BANK_VCCIO set by 'gpio_10_s3' : IO_TYPE = LVCMOS33 in the same bank
ERROR  (CT1136) : Bank 1 vccio(1.8) is locked by other constraint or embedded port, conflicting BANK_VCCIO set by 'gpio_10_s2' : IO_TYPE = LVCMOS33 in the same bank
ERROR  (CT1136) : Bank 1 vccio(1.8) is locked by other constraint or embedded port, conflicting BANK_VCCIO set by 'gpio_10_s1' : IO_TYPE = LVCMOS33 in the same bank
ERROR  (CT1136) : Bank 1 vccio(1.8) is locked by other constraint or embedded port, conflicting BANK_VCCIO set by 'gpio_10_s0' : IO_TYPE = LVCMOS33 in the same bank
Which I think comes about by virtue of the PSRAM being on bank 1 - I slightly worried that the AtomFPGA port is letting those ports set the vccio for bank 1 to 3.3 V which may (or might not) damage the PSRAM...or it might be more user error

I'll try and get a look later this evening if I'm able

D
User avatar
hoglet
Posts: 12665
Joined: Sat Oct 13, 2012 7:21 pm
Location: Bristol
Contact:

Re: Chinese FPGAs

Post by hoglet »

dominicbeesley wrote: Wed May 17, 2023 4:06 pm Please could you get rid of the AtomGodilVideo soft-link and add it to .gitignore then I'd have a lot more success updating. I'm stuck building on windows and adding a fs link in Windows is a bit iffy and git trips over its whatsit when it tries to overwrite it!
Done.
dominicbeesley wrote: Wed May 17, 2023 4:06 pm I think it's probably best to exclude the .gprj.user file from the repo too.
Done.

Dave
User avatar
hoglet
Posts: 12665
Joined: Sat Oct 13, 2012 7:21 pm
Location: Bristol
Contact:

Re: Chinese FPGAs

Post by hoglet »

dominicbeesley wrote: Wed May 17, 2023 5:40 pm Which I think comes about by virtue of the PSRAM being on bank 1 - I slightly worried that the AtomFPGA port is letting those ports set the vccio for bank 1 to 3.3 V which may (or might not) damage the PSRAM...or it might be more user error

I'll try and get a look later this evening if I'm able
Please do...

According to the Tang Nano 9K board photo, Bank 1 is 3.3V and Bank 3 is 1.8V
Screenshot from 2023-05-17 17-55-16.png
As far as I understand, the VCCO for a bank is set by what you connect to the bank's VCCO pins, and the Tang Nano wires:
- Bank 1 to 3.3V
- Bank 2 to 3.3V
- Bank 3 to 1.8V

This is a useful document:
http://cdn.gowinsemi.com.cn/UG803E.pdf
Screenshot from 2023-05-17 18-07-40.png
This states the PSRAM is connected to bank 3.

I think your error just means your .cst file is messed up, in that you are assigning a mix of 1.8V and 3.3V I/O to the same bank. I think IO_TYPE default to LVCMOS18 if it's missed off, so it's easy to accidentally end up with conflicts.

Dave
User avatar
dominicbeesley
Posts: 2210
Joined: Tue Apr 30, 2013 12:16 pm
Contact:

Re: Chinese FPGAs

Post by dominicbeesley »

Hmm, sorry that sounds like a false alarm.

I just copied the .cst and top-level port from atom fpga... so I'm not sure why its different. That's why I was concerned by the "or embedded port" bit



I'm back later than anticipated but I'll try and get a quick look before bedtime.

.... later

I think it was having the hdmi ports in the cst and them not being attached to anything.

I've had a hack at beebfpga and I have got it doing something. No psram or anything yet. It's a bit messy I had to hack a few things to get it to allow vhdl 2008, otherwise my rom infer code didn't work.

Its on https://github.com/dominicbeesley/BeebF ... dev-tang9k

Time for bed but I won't be able to sleep!
Attachments
20230518_021520.jpg
20230518_021604.jpg
User avatar
BigEd
Posts: 6261
Joined: Sun Jan 24, 2010 10:24 am
Location: West Country
Contact:

Re: Chinese FPGAs

Post by BigEd »

Looking very Beebish - well done! (at early o'clock too...)
User avatar
dominicbeesley
Posts: 2210
Joined: Tue Apr 30, 2013 12:16 pm
Contact:

Re: Chinese FPGAs

Post by dominicbeesley »

Thanks Ed,

I'm feeling it this morning...the days when I used to be able to pull an all-nighter and laugh it off the next day seem to be behind me. At least I got something done, The video was surprisingly stable given my pick-three-resistors-almost-at-random-and-make-an-emitter-follower video circuit.

I'm hopeful that the PSRAM might just slot in without too much work. As expected after screen time I couldn't sleep so did some mental arithmetic instead of counting sheep: The PSRAM runs at 96MHz and is DDR so effectively 192MHz. The longest transaction length I think is 15 cycles including an idle cycle so I get that as coming out at around 12.8 million transactions a second. so the 4MHz requirements of the beeb should be managed. I'm not sure if the scan-doublers throw more at the memory subsystem but at first glance they look to read from memory at "normal" speed then do the doubling in their own line buffers?

I'll try and get this mashed together as a POC later today and then repack it into a somewhat neater form ready for a possible pull-request after the weekend...

One thing that I think may be a challenge is where to store the ROMS. Dave did you get anywhere with appending these to the bit-stream and programming them to the spi flash to load up with a boot-loader? If not I will look at writing a small 6502 boot-loader to load the ROMS up from the SDCARD which might not be the easiest path but might give the greatest flexibility?

D
User avatar
hoglet
Posts: 12665
Joined: Sat Oct 13, 2012 7:21 pm
Location: Bristol
Contact:

Re: Chinese FPGAs

Post by hoglet »

dominicbeesley wrote: Thu May 18, 2023 10:36 am One thing that I think may be a challenge is where to store the ROMS. Dave did you get anywhere with appending these to the bit-stream and programming them to the spi flash to load up with a boot-loader? If not I will look at writing a small 6502 boot-loader to load the ROMS up from the SDCARD which might not be the easiest path but might give the greatest flexibility?
I've just this very minute got the User Flash initialization working. I had to make a change to openFPGAloader to allow the --mcufw option to work on the GW1NR-9C parts, see Implement --mcufw for User Flash on Gowin GW1NR-9C (TangNano9K). I'll send this change upstream once we have used it a bit.

The User Flash primitive (FLASH608K on the GW1NR-9C) is fairly easy to use, see this example in AtomFpga. It just needs a suitabled timed strobe signal fed into the SE input, and the data out 32-bits wide. It's got ~25ns access time.

That said, if you have enough PSRAM, the spi-bootloder might be better, as this already exists in BeebFpga for other boards. It's used by the Papilio Duo for example. See here. It would need some hacking to adapt it to the PSRAM interface I guess.

I believe openFPGAloader supports spi-flash programming on the Tang Nano 9K.

Dave
Last edited by hoglet on Thu May 18, 2023 2:13 pm, edited 1 time in total.
User avatar
dominicbeesley
Posts: 2210
Joined: Tue Apr 30, 2013 12:16 pm
Contact:

Re: Chinese FPGAs

Post by dominicbeesley »

So the user flash on the 9R is bigger than I thought but I'd still be interested in other options (it's only got room for a handful of 16k ROMs). The SPI thing looks like maybe the path of least resistance for now? Especially as you've done most of the heavy lifting by the looks of things.

For now I'll just try sticking the MOS and BASIC into BSRAM and provide the RAM from PSRAM as a PoC and we can maybe catch up and chat about what to do next.

I'm still interested in maybe a 6502 bootloader to load ROMs from an SDCARD for flexibility but that is a load of software...which I'd quite like to write but I have lots of software that I'd like to write and even more software that I should be getting paid to write instead of playing with this stuff [-X
User avatar
BigEd
Posts: 6261
Joined: Sun Jan 24, 2010 10:24 am
Location: West Country
Contact:

Re: Chinese FPGAs

Post by BigEd »

Is the PSRAM working now? That's a step forward, I think?
User avatar
dominicbeesley
Posts: 2210
Joined: Tue Apr 30, 2013 12:16 pm
Contact:

Re: Chinese FPGAs

Post by dominicbeesley »

Not quite yet. I'm reasonably confident though
Grasshopper
Posts: 99
Joined: Fri May 14, 2021 4:21 pm
Contact:

Re: Chinese FPGAs

Post by Grasshopper »

I know next to nothing about FPGAs so please forgive me if this is a silly question, but I'm wondering why you have chosen to base this project around the Tang Nano 9K instead of the Tang Nano 20K?

To my untrained eye, the 20K model appears to be significantly more powerful whilst only costing a bit more.

https://www.aliexpress.com/item/1005005581148230.html
User avatar
hoglet
Posts: 12665
Joined: Sat Oct 13, 2012 7:21 pm
Location: Bristol
Contact:

Re: Chinese FPGAs

Post by hoglet »

Grasshopper wrote: Sun May 21, 2023 4:52 pm I know next to nothing about FPGAs so please forgive me if this is a silly question, but I'm wondering why you have chosen to base this project around the Tang Nano 9K instead of the Tang Nano 20K?
For me a couple of reasons:
1. When we started a couple of weeks ago the Tang Nano 20K board (GW2AR-18 based) wasn't released
2. We were trying to see how useful the lower end GW1NR-9 FPGAs actually were

Certainly for Atom FPGA it's not been an issue, and I now have a pretty much fully loaded build running (including AtoMMC).

More details here:
https://github.com/hoglet67/AtomFpga/wiki/Tang-Nano-9K

There is no doubt the 20K board is better in many respects:
- 20K LUTs compared to 9K LUTs
- 92KB of BSRAM compared to 52KB
- 8MB of SDR SDRAM (~100ns for random accesses) rather than 8MB of PSRAM (~250ns for random accesses)
- an on-board SPI DAC
- an external MS5351 configurable clock generator compared to a single 27MHz oscillator
- three ground pins on the DIP package, rather than one

It may be for BeebFpga the PSRAM is not quite fast enough; we'll find out for sure soon.

The only down sides to the 20K are
- no internal FLASH, so FPGA configuration and User Data (ROMs etc) must come from external SPI FLASH
- price: a bit more expensive, but still cheap
- availability: you can't buy one on ebay yet for example
- tool support: I don't think openFPGAloader supports this board yet

But looking forward, this looks like an excellent board for more challenging projects.

Dave


So for anyone looking
leendert
Posts: 30
Joined: Thu Mar 05, 2015 12:55 pm
Location: Harderwijk, the Netherlands
Contact:

Re: Chinese FPGAs

Post by leendert »

hoglet wrote: Sun May 21, 2023 5:53 pm Certainly for Atom FPGA it's not been an issue, and I now have a pretty much fully loaded build running (including AtoMMC).
Thanks Dave for all the updates you did to make it work like charme!
And the 9k is clever stuff but also on the updates you did to the code: I'm learing a lot everytime!

Leendert
Atom 6502 rocks.

'If everything is transparent, you would see nothing'
leendert
Posts: 30
Joined: Thu Mar 05, 2015 12:55 pm
Location: Harderwijk, the Netherlands
Contact:

Re: Chinese FPGAs

Post by leendert »

Having some trouble to get your latest version working Dave.
The system boots, but only gives the 'garbage' start-up screen.
IMG_1285.jpg
I also attached the fs file.

Upon rebooting with the F10, I see the on board leds toggleing, so the system is alive

When building it up, I still need to copy files from the AtomGodilVideo. No problem, but may be that is inconsistent with the current build in the Tang nano tree.
tree.png
Leendert
Attachments
AtomFpga_TangNano9K.zip
(292.7 KiB) Downloaded 16 times
Atom 6502 rocks.

'If everything is transparent, you would see nothing'
User avatar
hoglet
Posts: 12665
Joined: Sat Oct 13, 2012 7:21 pm
Location: Bristol
Contact:

Re: Chinese FPGAs

Post by hoglet »

leendert wrote: Mon May 22, 2023 8:34 am The system boots, but only gives the 'garbage' start-up screen.
Your .fs file works for me.

Did you remember to load the Atom ROM data into the external SPI Flash?

See the end of the wiki page:
https://github.com/hoglet67/AtomFpga/wi ... -rom-image

Code: Select all

openFPGALoader -b tangnano9k --external-flash --offset 65536 roms/16K_avr.rom
Dave
leendert
Posts: 30
Joined: Thu Mar 05, 2015 12:55 pm
Location: Harderwijk, the Netherlands
Contact:

Re: Chinese FPGAs

Post by leendert »

hoglet wrote: Mon May 22, 2023 9:57 am Did you remember to load the Atom ROM data into the external SPI Flash?
No I did not do that... Oeps..
Making that work is quite an adventure. Currently my only unix machine is a Raspberry and after making the openFPGALoader, the programming gives write errors on the device (USB Write -1).
The windows WSL2 looks massive for just an upload, so I wll try to install an Ubuntu machine and do the upload with it.
I'll keep you posted. Are you using a Raspberry or a more beefy machine?
Leendert
Atom 6502 rocks.

'If everything is transparent, you would see nothing'
User avatar
lushprojects
Posts: 148
Joined: Mon Jan 18, 2021 4:02 pm
Contact:

Re: Chinese FPGAs

Post by lushprojects »

mogwaay wrote: Tue May 16, 2023 4:50 pm
I get my toolchain from here:
https://github.com/YosysHQ/oss-cad-suite-build

Bit off-topic, but thanks for that link. The tool chains for these things are very hard to navigate for the inexperienced, and that looks very useful. If I ever want to do anything that isn't in Quartus 13 for MiSTer then I know where to start.
User avatar
hoglet
Posts: 12665
Joined: Sat Oct 13, 2012 7:21 pm
Location: Bristol
Contact:

Re: Chinese FPGAs

Post by hoglet »

leendert wrote: Mon May 22, 2023 4:26 pm I'll keep you posted. Are you using a Raspberry or a more beefy machine?
I'm using Ubuntu 18.04 on an old Core2 Quad workstation.

The Gowin Windows Programmer does include the option to program the external FLASH:
Untitled.png
I couldn't get that to work though on Windows 7.

It might be worth giving it at try....

Dave
mogwaay
Posts: 98
Joined: Thu Feb 11, 2021 10:51 pm
Contact:

Re: Chinese FPGAs

Post by mogwaay »

lushprojects wrote: Tue May 23, 2023 11:08 am

Bit off-topic, but thanks for that link. The tool chains for these things are very hard to navigate for the inexperienced, and that looks very useful. If I ever want to do anything that isn't in Quartus 13 for MiSTer then I know where to start.
No worries and yes sorry about that, I was a bit off topic...
User avatar
lushprojects
Posts: 148
Joined: Mon Jan 18, 2021 4:02 pm
Contact:

Re: Chinese FPGAs

Post by lushprojects »

mogwaay wrote: Tue May 23, 2023 1:13 pm I was a bit off topic...
Not you! :oops: Me being off-topic!
leendert
Posts: 30
Joined: Thu Mar 05, 2015 12:55 pm
Location: Harderwijk, the Netherlands
Contact:

Re: Chinese FPGAs

Post by leendert »

hoglet wrote: Tue May 23, 2023 11:26 am I couldn't get that to work though on Windows 7.

It might be worth giving it at try....

Dave
I gave it a try in W10 (had no time to build Ubuntu system).
flash.png
No flashing errors, but also no better result. Can't determine based on the output of the programmer if 'zero sectors' means it did not write a single byte of the 16 rom.

Leendert
Atom 6502 rocks.

'If everything is transparent, you would see nothing'
User avatar
hoglet
Posts: 12665
Joined: Sat Oct 13, 2012 7:21 pm
Location: Bristol
Contact:

Re: Chinese FPGAs

Post by hoglet »

leendert wrote: Fri May 26, 2023 3:29 pm I gave it a try in W10 (had no time to build Ubuntu system).
Here's another way of running on Windows, using MinGW MSYS2:
https://fpga.mit.edu/6205/F22/documentation/openFPGA

Dave
Grasshopper
Posts: 99
Joined: Fri May 14, 2021 4:21 pm
Contact:

Re: Chinese FPGAs

Post by Grasshopper »

hoglet wrote: Sun May 21, 2023 5:53 pm
Grasshopper wrote: Sun May 21, 2023 4:52 pm I know next to nothing about FPGAs so please forgive me if this is a silly question, but I'm wondering why you have chosen to base this project around the Tang Nano 9K instead of the Tang Nano 20K?
For me a couple of reasons:
1. When we started a couple of weeks ago the Tang Nano 20K board (GW2AR-18 based) wasn't released
2. We were trying to see how useful the lower end GW1NR-9 FPGAs actually were

Certainly for Atom FPGA it's not been an issue, and I now have a pretty much fully loaded build running (including AtoMMC).

More details here:
https://github.com/hoglet67/AtomFpga/wiki/Tang-Nano-9K

There is no doubt the 20K board is better in many respects:
- 20K LUTs compared to 9K LUTs
- 92KB of BSRAM compared to 52KB
- 8MB of SDR SDRAM (~100ns for random accesses) rather than 8MB of PSRAM (~250ns for random accesses)
- an on-board SPI DAC
- an external MS5351 configurable clock generator compared to a single 27MHz oscillator
- three ground pins on the DIP package, rather than one

It may be for BeebFpga the PSRAM is not quite fast enough; we'll find out for sure soon.

The only down sides to the 20K are
- no internal FLASH, so FPGA configuration and User Data (ROMs etc) must come from external SPI FLASH
- price: a bit more expensive, but still cheap
- availability: you can't buy one on ebay yet for example
- tool support: I don't think openFPGAloader supports this board yet

But looking forward, this looks like an excellent board for more challenging projects.

Dave


So for anyone looking
I've just been looking on the openFPGAloader site, and it appears that the Tang Nano 20K is now supported.

https://trabucayre.github.io/openFPGALo ... board.html

With regards to porting AtomFpga to the Tang Nano 9K, I'm wondering whether that project deserves a thread of its own?

I'm sure that many people around here (including myself) would be very interested in building a replica Atom based around a $15 FPGA board. But the project has fallen a little under the radar.
User avatar
hoglet
Posts: 12665
Joined: Sat Oct 13, 2012 7:21 pm
Location: Bristol
Contact:

Re: Chinese FPGAs

Post by hoglet »

Grasshopper wrote: Thu Jun 15, 2023 10:48 am I'm sure that many people around here (including myself) would be very interested in building a replica Atom based around a $15 FPGA board. But the project has fallen a little under the radar.
Indeed, but both AtomFPGA and BeebFPGA ports to the Tang Nano 9K have been moving forward, and we now have the PSRAM to running reliably at 4MHz, and ROM data being boot-loaded into PSRAM from the external serial FLASH.

The AtomFPGA port includes:
- DVI/VGA output (640x480 @ 60Hz)
- 6502 CPU switchable between 1MHz, 2MHz and 4MHz
- Atom 2015 compatible RAM/ROM expansion (128KB RAM/16MB ROM)
- PS/2 Keyboard
- PS/2 Mouse
- AtoMMC2 SD Card file system
- GODIL graphics extensions, including 80 column mode
- SID audio
There is even some getting-started documentation here

The BeebFPGA port includes:
- Master and Model B support
- 65C02 CPU
- PS/2 Keyboard
- PS/2 Mouse (not tested yet)
- HDMI/DVI/VGA/sRGB output (720x576 @ 50Hz)
- MMFS SD Card file system
- Video NuLA graphics extensions
- SID audio
- Music 5000 audio

The only features that are not available are:
- the ICE-65C02 debugger (this needs a seperare AVR soft core, which I doubt there is space for)
- the external Co Processor interface (this should be possible)

While I still regard both of these ports as experimental, they are both stable and very much usable. They are also very accurate, for example being able to run all of the BitShifters demos. The Tang Nano 9K costs just £20 (ish) (ebay link), which really is very good value for what you get.

There are just two things that mean this is not completely plug & play:
1. You need a small breadboard, and possibly some level shifters, to be able to connect up a PS/2 keyboard
2. The process for programming the ROM data into external SPI Flash is somewhat involved (and extremenly slow)

If anyone has one of these boards and wants to try either of these projects out, I'm happy to help and will endeavour to improve the documentation as questions arise.

Dave
Grasshopper
Posts: 99
Joined: Fri May 14, 2021 4:21 pm
Contact:

Re: Chinese FPGAs

Post by Grasshopper »

hoglet wrote: Thu Jun 15, 2023 11:41 am If anyone has one of these boards and wants to try either of these projects out, I'm happy to help and will endeavour to improve the documentation as questions arise.
Thanks very much.

Yes, I do have a few questions.

I recently bought a couple of Tang Nano 9K boards from Aliexpress. If anyone's interested, they cost about £15 each (including postage and tax).

I've been trying to install AtomFPGA onto one of the boards by following the instructions on your Wiki. However, when I type the following command:

git checkout https://github.com/hoglet67/AtomFpga.git

I get the following error message:

fatal: not a git repository (or any of the parent directories): .git

I also get the same error message when I try to checkout the AtomGodilVideo.git repository.

I'm using MX Linux.

Thanks
User avatar
hoglet
Posts: 12665
Joined: Sat Oct 13, 2012 7:21 pm
Location: Bristol
Contact:

Re: Chinese FPGAs

Post by hoglet »

Grasshopper wrote: Sat Oct 07, 2023 12:46 pm I've been trying to install AtomFPGA onto one of the boards by following the instructions on your Wiki. However, when I type the following command:

git checkout https://github.com/hoglet67/AtomFpga.git

I get the following error message:

fatal: not a git repository (or any of the parent directories): .git

I also get the same error message when I try to checkout the AtomGodilVideo.git repository.
Sorry, that was a mistake in the instructions (which is now fixed)

The command should be:

Code: Select all

    git clone https://github.com/hoglet67/AtomFpga.git
Dave
Grasshopper
Posts: 99
Joined: Fri May 14, 2021 4:21 pm
Contact:

Re: Chinese FPGAs

Post by Grasshopper »

hoglet wrote: Sat Oct 07, 2023 12:57 pm Sorry, that was a mistake in the instructions (which is now fixed)

The command should be:

Code: Select all

    git clone https://github.com/hoglet67/AtomFpga.git
Dave
Thanks for that.

I'm now struggling to find the correct file to download from gowin's website.

I've tried "GOWIN Programmer V1.9.8.11 Education Edition (Linux)" but it only appears to contain the programmer and not the IDE.

I've also tried "Gowin Programmer V1.9.9 Beta-4 Education (Linux)". This contains both the programmer and the IDE. However, the IDE produces a lot of errors when I try to build AtomFpga. I'm not sure whether this is because it's a beta version, or whether I'm doing something wrong.

I've attached the log file in case it helps.
Attachments
gowin.log
(64.53 KiB) Downloaded 18 times
Post Reply

Return to “off-topic”